Increased Detection of Hard-to-Detect Stuck-at Faults during Scan Shift

JOURNAL OF ELECTRONIC TESTING-THEORY AND APPLICATIONS(2023)

引用 0|浏览10
暂无评分
摘要
Test sets that target standard fault models may not always be sufficient for detecting all defects. To evaluate test sets for the detection of unmodeled defects, n -detect test sets (which detect all modeled faults at least n times) have previously been proposed. Unfortunately, n -detect test sets are often prohibitively long. In this paper, we investigate the ability of shadow flip-flops connected into a MISR (Multiple Input Signature Register) to detect stuck-at faults fortuitously multiple times during scan shift. We explore which flip-flops should be shadowed to increase the value of n for the least detected stuck-at faults for each circuit studied. We then identify which circuit characteristics are most important for determining the cost of the MISR needed to achieve high values of n . For example, circuits that contain a few flip-flops with upstream fault cones that cover a large percentage of all faults in the circuit can often achieve high n -detect coverage fortuitously with a low-cost MISR. This allows a DFT engineer to predict the viability of this MISR-based approach early in the design cycle.
更多
查看译文
关键词
DFT,n-detect,Stuck-at faults,Scan shift capture,Defect coverage,MISR,Fault cone
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要