A 28nm 32Kb SRAM Computing-in-Memory Macro With Hierarchical Capacity Attenuator and Input Sparsity-Optimized ADC for 4b Mac Operation

IEEE Transactions on Circuits and Systems II: Express Briefs(2023)

引用 1|浏览17
暂无评分
摘要
Computing-in-memory (CIM) is an emerging approach for alleviating the Von-Neumann bottleneck of latency and energy overheads, and improving energy efficiency and throughput. In this brief, we present a novel CIM macro aimed at improving the energy efficiency and throughput of edge devices when running 4b multiply-and-accumulate (MAC) operations. The proposed architecture uses (1) a customized 9T1C bit-cell in charge-domain computation for sensing margin improvement and compact design; (2) a hierarchical capacity attenuator for 4b weight accumulation without complicated controlling switches and signals for throughput improvement; (3) an input sparsity-sensing-based flash analog-to-digital converters readout scheme to improve energy efficiency and throughput. Fabricated in 28nm CMOS technology, the proposed 32Kb SRAM CIM macro demonstrates an average energy efficiency of 646.6 TOPS/W (normalized to 4b/4b input/weight) and a throughput of 1638.4 GOPS while achieving 84.89% classification accuracy on the CIFAR-10 dataset at 4b precision in inputs and weights.
更多
查看译文
关键词
4b macro operation,adc,computing-in-memory,sparsity-optimized
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要