From 2.5D to 3D Chiplet Systems: Investigation of Thermal Implications with HotSpot 7.0

2022 21st IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (iTherm)(2022)

引用 2|浏览8
暂无评分
摘要
Recent advanced packaging technologies such as 2.5D chiplet-package offer a modular approach to increasing yield over monolithic SoC designs. As 2.5D chiplet systems shed light on reducing product development times and costs, 3D chiplet systems can extend the benefits furthermore by offering more remarkable performance. As semiconductor technology continues, the significance of thermal management has arisen even for a monolithic chip. When it comes to 3D chiplet systems, thermal issue remains being one of the most critical obstacles to transitioning from 2.5D to 3D. Microfluidic cooling has been proved to be a promising cooling solution, yet the actual detailed thermal indications for making the direct transition under this cooling strategy are still missing. HotSpot 7.0 emerged as the latest pre-RTL thermal analysis framework that introduced a novel thermal management method using microfluidic cooling on the widely used pre-RTL power and thermal simulator. This paper presents evolution investigations from 2.5D to microfluidic-cooled 3D integration from the thermal management aspect using HotSpot 7.0. We studied a typical 2.5D chiplet as an example, and it has one processor chip in the center and four high bandwidth memory (HBM) chips on the sides. The thermal management method with microfluidics reduces the maximum temperature of 2.5D and 3D chiplet by 47.2°C and 63.83°C, respectively. In addition, as a high-performance system example, a hypothetical processor-processor integration is investigated. The simulation results show that the conventional air convection type is hard to maintain the chiplet temperature under the operating temperature range. Microfluidic cooling is advantageous in heat dissipation and heat spreading. The cooling capacity is dependent on the pump pressure. Multi-layer cooling is a promising cost-effective solution for the 3D chiplet system.
更多
查看译文
关键词
HotSpot,chiplet,thermal management,thermal simulation,microfluidic cooling
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要