Atomic layer annealing for modulation of the work function of TiN metal gate for n-type MOS devices

APPLIED SURFACE SCIENCE(2022)

引用 6|浏览5
暂无评分
摘要
Tailoring the work function of metal gates at a low temperature is critical to the electronic performance of advanced nanoscale MOSFET devices. In this paper, the work function of TiN thin films is effectively altered by the atomic layer annealing (ALA) technique, i.e. the layer-by-layer, in-situ argon plasma treatment incorporated into each atomic layer deposition cycle. The density, stoichiometry, and crystallinity of TiN thin films can be significantly improved by the ALA treatment at a low temperature of only 300 degrees C, which leads to a wide tunability of the work function from 4.52 to 4.03 eV of the TiN metal gate. The sufficiently low work function of 4.03 eV is highly favorable to the low power consumption in n-type MOSFETs. The result indicates that the ALA technique is an advantageous approach to modulating the physical and material properties of metal gates in nanoscale MOS devices by precise energy transfer with atomic-scale accuracy.
更多
查看译文
关键词
Work function, Atomic layer deposition, Atomic layer annealing, Titanium nitride
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要