Low-Temperature Conformal Atomic Layer Etching of Si with a Damage-Free Surface for Next-Generation Atomic-Scale Electronics

ACS APPLIED NANO MATERIALS(2019)

引用 3|浏览3
暂无评分
摘要
Conformal atomic layer etching (cALE) of Si is realized on the basis of layer-by-layer self-limiting deposition and self-stop etching processes at low temperatures. In each cALE cycle, a conformal oxide layer was prepared by atomic layer deposition (ALD) on Si, resulting in the formation of an ultrathin SiOx interfacial layer between the oxide and Si. Afterward, the oxide and interfacial layers are removed by self-stop wet chemical etching, leading to the cALE of Si. The etching depth exhibits high linearity with respect to the applied cALE cycles, revealing a precise etching rate of a few angstroms per cALE cycle. The AFM measurement shows a low surface roughness after the cALE process as compared with other etching methods. Moreover, the evidence of conformal etching of cALE is provided by the TEM images of fin/trench structures. Also, the high-resolution TEM image demonstrates a smooth and damage-free Si surface after the cALE process. This layer-by-layer, conformal, self-limiting, self-stop, and damage-free cALE technique is highly beneficial to advanced semiconductor fabrication technology for next-generation atomic-scale electronics.
更多
查看译文
关键词
atomic layer deposition,atomic layer etching,conformal etching,layer-by-layer etching,surface damage freeetching
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要