Optically connected memory for disaggregated data centers

JOURNAL OF PARALLEL AND DISTRIBUTED COMPUTING(2022)

引用 11|浏览56
暂无评分
摘要
Recent advances in integrated photonics enable the implementation of reconfigurable, high-bandwidth, and low energy-per-bit interconnects in next-generation data centers. We propose and evaluate an Optically Connected Memory (OCM) architecture that disaggregates the main memory from the computation nodes in data centers. OCM is based on micro-ring resonators (MRRs), and it does not require any modification to the DRAM memory modules. We calculate energy consumption from real photonic devices and integrate them into a system simulator to evaluate performance. Our results show that (1) OCM is capable of interconnecting four DDR4 memory channels to a computing node using two fibers with 1.02 pJ energy-per-bit consumption and (2) OCM performs up to 5.5 x faster than a disaggregated memory with 40G PCIe NIC connectors to computing nodes. (c) 2022 Elsevier Inc. All rights reserved.
更多
查看译文
关键词
Disaggregated memory,Photonics,Data-centers,DRAM,Memory systems
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要