Golden Gate: Bridging The Resource-Efficiency Gap Between ASICs and FPGA Prototypes

2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)(2019)

引用 22|浏览81
暂无评分
摘要
We present Golden Gate, an FPGA-based simulation tool that decouples the timing of an FPGA host platform from that of the target RTL design. In contrast to previous work in static time-multiplexing of FPGA resources, Golden Gate employs the Latency-Insensitive Bounded Dataflow Network (LI-BDN) formalism to decompose the simulator into subcomponents, each of which may be independently and automatically optimized. This structure allows Golden Gate to support a broad class of optimizations that improve resource utilization by implementing FPGA-hostile structures over multiple cycles, while the LI-BDN formalism ensures that the simulator still produces bit- and cycle-exact results. To verify that these optimizations are implemented correctly, we also present LIME, a model-checking tool that provides a push-button flow for checking whether optimized subcomponents adhere to an associated correctness specification, while also guaranteeing forward progress. Finally, we use Golden Gate to generate a cycle-exact simulator of a multi-core SoC, where we reduce LUT utilization by up to 26% by coercing multi-ported, combinationally read memories into simulation models backed by time-multiplexed block RAMs, enabling us to simulate 50% more cores on a single FPGA.
更多
查看译文
关键词
Golden Gate,resource-efficiency gap,FPGA-based simulation tool,FPGA host platform,FPGA resources,Latency-Insensitive Bounded Dataflow Network,optimizations,FPGA-hostile structures,LI-BDN formalism,cycle-exact simulator,LIME,time-multiplexed block RAM
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要