An Adaptive Clock Management Scheme Exploiting Instruction-Based Dynamic Timing Slack For A General-Purpose Graphics Processor Unit With Deep Pipeline And Out-Of-Order Execution

2019 IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE (ISSCC)(2019)

引用 17|浏览26
暂无评分
摘要
Cycle-by-cycle dynamic timing slack (DTS), which represents extra timing margin from the critical-path timing slack reported by the static timing analysis (STA), has been observed at both program level and instruction level. Conventional dynamic voltage and frequency scaling (DVFS) works at the program level and does not provide adequate frequency-scaling granularity for instruction-level timing management [1]. Razor-based techniques leverage error detection to exploit the DTS on a cycle-by-cycle basis [2]. However, it requires additional error-detection circuits and architecture-level co-design for error recovery [3]. Supply droop-based adaptive clocking was used to reduce timing margin under PVT variation, but does not address the instruction-level timing variation [4]. Recently, instruction-based adaptive clock schemes have been introduced to enhance a CPU’s operation [5–6]. For example, instruction types at the execution stage were used to provide timing control for a simple pipeline structure. However, this scheme lacks adequate consideration for other pipeline stages whose timing may not be opcode dependent [5]. In [6], the instruction-execution sequence was evaluated at the compiler level with the timing encoded into the instruction code. The scheme considers all pipeline stages but relies on in-order execution of instructions for proper timing encoding from the compiler.
更多
查看译文
关键词
instruction-execution sequence,compiler level,instruction code,adaptive clock management scheme exploiting instruction-based dynamic timing slack,general-purpose graphics processor unit,cycle-by-cycle dynamic timing slack,DTS,critical-path timing slack,static timing analysis,program level,instruction-level timing management,razor-based techniques leverage error detection,cycle-by-cycle basis,supply droop-based adaptive clocking,instruction-level timing variation,instruction-based adaptive clock schemes,timing control,timing encoding,frequency-scaling granularity,error-detection circuits,out-of-order execution,deep pipeline,dynamic voltage and frequency scaling,DVFS,architecture-level co-design,extra timing margin reduction,CPU operation,PVT variation
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要