Power-aware multi-voltage custom memory models for enhancing RTL and low power verification

International Conference on Computer Design(2015)

引用 2|浏览4
暂无评分
摘要
We describe a methodology to model the low power and voltage behavior of multi-voltage custom memories in processors. These models facilitate early power-aware verification by abstracting the transistor-level representation of the memory to its power-aware behavioral RTL model. To the best of our knowledge, this is the first attempt at addressing the power-aware RTL model generation problem for custom memories. In our method, we identify voltage crossing points in transistors across channel connected components and use these crossing points to transform the RTL for power-awareness closely matching its circuit implementation. Without the proposed abstraction technique to generate power-aware RTL, low-power verification of such memories will need to be done using transistor-level simulations that are prohibitively time-intensive and hence impractical. We check for correctness of these generated power-aware memory models through formal equivalence, symbolic simulations, assertion and simulation based verification. These models are also validated using static power-domain checks. By applying this methodology in a power-aware design and verification framework on a commercial processor, we identified and corrected low power circuit and RTL bugs prior to tape-out.
更多
查看译文
关键词
power-aware multivoltage custom memory models,RTL verification,low power verification,power-aware verification,transistor-level representation,power-aware RTL model generation problem,voltage crossing point identification,circuit implementation,transistor-level simulations,formal equivalence,symbolic simulations,simulation based verification,static power-domain checks,low power circuit,RTL bugs
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要