Powering Up Dark Silicon: Mitigating the Limitation of Power Delivery via Dynamic Pin Switching

IEEE Transactions on Emerging Topics in Computing(2015)

引用 4|浏览3
暂无评分
摘要
The end of Dennard scaling has led to a large amount of inactive or significantly underclocked transistors on modern chip multiprocessors in order to comply with the power budget and prevent the processors from overheating. This so-called dark silicon is one of the most critical constraints that will hinder the scaling with Moore's Law in future. While advanced cooling techniques, such as liquid cooling, can effectively decrease the chip temperature and alleviate the power constraints, the peak performance, determined by the maximum number of transistors, which are allowed to switch simultaneously, is still confined by the amount of power pins on the chip package. In this paper, we propose a novel mechanism to power up the dark silicon by dynamically switching a portion of I/O pins to power pins when off-chip communications are less frequent. By enabling extra cores or increasing processor frequency, the proposed strategy can significantly boost the performance compared with the traditional designs.
更多
查看译文
关键词
transistors,very large scale integration,silicon
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要