Timing channel protection for a shared memory controller

HPCA(2014)

引用 137|浏览94
暂无评分
摘要
This paper proposes a new memory controller design that enables secure sharing of main memory among mutually mistrusting parties by eliminating memory timing channels. This study demonstrates that shared memory controllers are vulnerable to both side channel and covert channel attacks that exploit memory interference as timing channels. To address this vulnerability, we identify the sources of interference in a conventional memory controller design, and propose a protection scheme to eliminate the interference across security domains through two main changes: (i) a per security domain based queueing structure, and (ii) static allocation of time slots in the scheduling algorithm. Multi-programmed workloads comprised of SPEC2006 benchmarks were used to evaluate the protection scheme. The results show that the proposed scheme completely eliminates the timing channels in the shared memory with small hardware and performance overheads.
更多
查看译文
关键词
conventional memory controller design,covert channel attack,performance overhead,interference across security domain,memory timing channel,scheduling algorithm,mutually mistrusting party,trusted computing,queueing theory,secure sharing,shared memory systems,timing channel protection,multiprogrammed workload,shared memory controller,side channel,memory interference,static allocation,spec2006 benchmark,protection scheme,multiprogramming,hardware overhead,security domain based queueing structure,security,tin
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要