Mosaic: Exploiting the spatial locality of process variation to reduce refresh energy in on-chip eDRAM modules

HPCA(2014)

引用 77|浏览73
暂无评分
摘要
EDRAM cells require periodic refresh, which ends up consuming substantial energy for large last-level caches. In practice, it is well known that different eDRAM cells can exhibit very different charge-retention properties. Unfortunately, current systems pessimistically assume worst-case retention times, and end up refreshing all the cells at a conservatively-high rate. In this paper, we propose an alternative approach. We use known facts about the factors that determine the retention properties of cells to build a new model of eDRAM retention times. The model is called Mosaic. The model shows that the retention times of cells in large eDRAM modules exhibit spatial correlation. Therefore, we logically divide the eDRAM module into regions or tiles, profile the retention properties of each tile, and program their refresh requirements in small counters in the cache controller. With this architecture, also called Mosaic, we refresh each tile at a different rate. The result is a 20x reduction in the number of refreshes in large eDRAM modules - practically eliminating refresh as a source of energy consumption.
更多
查看译文
关键词
refresh requirements,edram retention times,power aware computing,cache storage,on-chip edram modules,edram cells,mosaic,energy conservation,cache controller,dram chips,charge-retention properties,energy consumption,refresh energy reduction,process variation spatial locality,spatial correlation,embedded dram,capacitors,transistors,irrigation
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要