Clock power minimization using structured latch templates and decision tree induction

ICCAD(2013)

引用 22|浏览40
暂无评分
摘要
This work proposes a novel latch placement methodology by computing optimized placement templates with significantly lower local clock tree capacitance at a one-time cost per standard cell library. By directly minimizing local clock tree capacitance, overall chip power is reduced. The proposed methodology first generates optimized placement solutions for a wide range of input configurations. Then, a redundancy removal approach using set-theoretic annotation is proposed demonstrating it is possible to remove over 99% of the templates with no information loss. Finally, a decision tree induction algorithm with novel impurity metric enables extremely fast template selection during the clock optimization stage of a modern physical design flow. The proposed approach reduces the local clock tree capacitance by 20-30% on average roughly equating to between a 1 and 4 watt reduction in total dynamic power on a 100-watt 22-nm microprocessor. Additionally, because of a priori generation, template selection during physical design is extremely fast.
更多
查看译文
关键词
placement methodology,proposed methodology,decision tree induction algorithm,local clock tree capacitance,optimized placement template,clock power minimization,template selection,modern physical design flow,optimized placement solution,clock optimization stage,power,optimization,physical design,set theory,layout,decision trees,capacitance,redundancy
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要