Resource Allocation and Design Techniques of Prebond Testable 3-D Clock Tree

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems(2013)

引用 13|浏览6
暂无评分
摘要
In 3-D stacked integrated circuit (IC) manufacturing, for the acceptable high yield, it is essential to stack only known good dies by testing the individual dies at the prebond stage. While the postbonded 3-D IC is operated by a low power 3-D clock tree, the prebond testing requires a 2-D clock tree on each die. The previous work provided a prebond testable 3-D clock tree synthesis solution by allocating through-silicon via (TSV) buffers and redundant trees with transmission gates. However, no optimizations on the allocation and design of the resources have been addressed. In this paper, we propose practically viable clock tree optimization techniques under prebond testability: 1) TSV-buffer-aware topology generation techniques that enable an economical buffer allocation by preventing (potentially “bad”) TSV buffers; 2) delay-locked loop (DLL)-based 2-D clock network design method that offers a diverse exploration of 2-D clock tree synthesis and resource allocation for prebond die testing; and 3) a new circuit design technique of transmission gates that completely removes its control line. Compared to the existing topology generation algorithms, our proposed TSV-buffer-aware topology generation uses 68%–88% fewer TSVs, 36%–58% less wire resource, and 35%–69% fewer buffers while consuming 17%–43% less clock power for the benchmark circuits, and our proposed method of clock tree exploration provides many alternative structures of a 2-D clock tree, considering the resource balance between DLLs and wires. In addition, the use of our self-controlled clock transmission gate enables a drastic reduction of the total wirelength, which amounts to 18% on average.
更多
查看译文
关键词
self-controlled clock transmission gate,clock tree synthesis,acceptable high yield,low power 3d clock tree,clock tree optimization,integrated circuit testing,prebond die testing,tsv-buffer-aware topology generation,trees (mathematics),through-silicon via buffers,2d clock tree,three-dimensional integrated circuits,delay-locked loop (dll)-based clock network,circuit optimisation,economical buffer allocation,resource allocation,delay-locked loop,3d stacked integrated circuit manufacturing,redundant trees,clocks,prebond testable 3d clock tree,integrated circuit yield,buffer circuits,3-d integrated circuits (ics),prebond testing,delay lock loops,circuit design
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要