Sniper: exploring the level of abstraction for scalable and accurate parallel multi-core simulation

SC(2011)

引用 1105|浏览372
暂无评分
摘要
Two major trends in high-performance computing, namely, larger numbers of cores and the growing size of on-chip cache memory, are creating significant challenges for evaluating the design space of future processor architectures. Fast and scalable simulations are therefore needed to allow for sufficient exploration of large multi-core systems within a limited simulation time budget. By bringing together accurate high-abstraction analytical models with fast parallel simulation, architects can trade off accuracy with simulation speed to allow for longer application runs, covering a larger portion of the hardware design space. Interval simulation provides this balance between detailed cycle-accurate simulation and one-IPC simulation, allowing long-running simulations to be modeled much faster than with detailed cycle-accurate simulation, while still providing the detail necessary to observe core-uncore interactions across the entire system. Validations against real hardware show average absolute errors within 25% for a variety of multi-threaded workloads; more than twice as accurate on average as one-IPC simulation. Further, we demonstrate scalable simulation speed of up to 2.0 MIPS when simulating a 16-core system on an 8-core SMP machine.
更多
查看译文
关键词
16-core system,detailed cycle-accurate simulation,accurate parallel multi-core simulation,limited simulation time budget,one-ipc simulation,scalable simulation speed,long-running simulation,simulation speed,scalable simulation,fast parallel simulation,interval simulation,synchronization,chip,processor architecture,multi core processor,cache memory,kernel,accuracy,multicore processing
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要