Mapu: A Novel Mathematical Computing Architecture

Donglin Wang,Shaolin Xie,Zhiwei Zhang,Xueliang Du, Lei Wang,Zijun Liu,Xiao Lin,Jie Hao,Leizu Yin,Tao Wang, Yongyong Yang, Chen Lin,Hong Ma, Zhonghua Pu,Guangxin Ding,Wenqin Sun,Fabiao Zhou,Weili Ren,Huijuan Wang, Mengchen Zhu, Lipeng Yang, Nuozhou Xiao, Qian Cui, Xingang Wang, Ruoshan Guo, Xiaoqin Wang

2016 IEEE International Symposium on High Performance Computer Architecture (HPCA)(2016)

引用 23|浏览41
暂无评分
摘要
As the feature size of the semiconductor process is scaling down to 10nm and below, it is possible to assemble systems with high performance processors that can theoretically provide computational power of up to tens of PLOPS. However, the power consumption of these systems is also rocketing up to tens of millions watts, and the actual performance is only around 60% of the theoretical performance. Today, power efficiency and sustained performance have become the main foci of processor designers. Traditional computing architecture such as superscalar and GPGPU are proven to be power inefficient, and there is a big gap between the actual and peak performance. In this paper, we present the MaPU architecture, a novel architecture which is suitable for data-intensive computing with great power efficiency and sustained computation throughput. To achieve this goal, MaPU attempts to optimize the application from a system perspective, including the hardware, algorithm and corresponding program model. It uses an innovative multi-granularity parallel memory system with intrinsic shuffle ability, cascading pipelines with wide SIMD data paths and a state-machine-based program model. When executing typical signal processing algorithms, a single MaPU core implemented with a 40nm process exhibits a sustained performance of 134 GLOPS while consuming only 2.8 W in power, which increases the actual power efficiency by an order of magnitude comparable with the traditional CPU and GPGPU.
更多
查看译文
关键词
mathematical computing architecture,semiconductor process,high performance processors,PLOPS,power consumption,power efficiency,sustained performance,MaPU architecture,data-intensive computing,innovative multigranularity parallel memory system,intrinsic shuffle ability,cascading pipelines,wide SIMD data path,state-machine-based program model,signal processing algorithm,single MaPU core,GLOPS,CPU,GPGPU
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要