iEDA: An Open-source infrastructure of EDA

Xingquan Li, Zengrong Huang, Simin Tao,Zhipeng Huang, Chunan Zhuang, Hao Wang, Yifan Li,Yihang Qiu,Guojie Luo,Huawei Li, Haihua Shen,Mingyu Chen, Dongbo Bu,Wenxing Zhu, Ye Cai,Xiaoming Xiong,Ying Jiang, Yi Heng, Peng Zhang,Bei Yu,Biwei Xie,Yungang Bao

2024 29th Asia and South Pacific Design Automation Conference (ASP-DAC)(2024)

引用 0|浏览4
暂无评分
摘要
By leveraging the power of open-source software, the EDA tool offers a cost-effective and flexible solution for designers, researchers, and hobbyists alike. Open-source EDA promotes collaboration, innovation, and knowledge sharing within the EDA community. It emphasizes the role of the toolchain in accelerating the development of electronic systems, reducing design costs, and improving design quality. This paper presents an open-source EDA project, iEDA, aiming to build a basic infrastructure for EDA technology evolution and closing the industrial-academic gap in the EDA area. As the foundation for developing EDA tools and researching EDA algorithms and technologies, iEDA is mainly composed of file system, database, manager, operator and interface. To demonstrate the effectiveness of iEDA, we implement and tape out four chips of different scales (from 700k to 500M gates) on different process nodes (110nm and 28nm) with iEDA. iEDA is publicly available on the project home page https://github.com/OSCC-Project/iEDA.
更多
查看译文
关键词
Infrastructure,Electronic Design Automation,Design Quality,File System,Processing Nodes,Research And Development,Parsing,Design Tool,Programming Language,Design Parameters,Design Requirements,User-friendly Interface,Preferred Language,Semiconductor Industry,Physical Design,Open-access Database,Design Flow,Talent Development,Chip Design,Moore’s Law,AI Models,Recovery Capability,Snapshot Data,Training Platform,Software Development Kit,Design Process,Interoperability,Language Support
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要