iEDA: An Open-Source Intelligent Physical Implementation Toolkit and Library

Xingquan Li, Tao Shen,Zeng-Rong Huang,Shijian Chen,Z. Zeng,Liwei Ni, Zhaohui Huang, Chao Zhuang,Hongxi Wu, W.D. Li,Xueyan Zhao, He Li,Shuaiying Long,Wei He,Bojun Liu, S. Ian Gan, Zhishui Yu,Tong Liu, Ying Miao, Zhibin Yan, Dan Wang,Jie Zhao, Yifan Li,Ruizhi Liu, Xiao Lin,Bing Yang, Xue Zhang,Fuxing Huang, Yang Zhou,Zhenggang Wu,Jiangkao Li, Y. Liu,Ming Peng,Yihang Qiu, Wei Wu, Z-M Shao,Kai Mo,Jikang Liu, Yao Jen Liang,Mingzhe Zhang, Zhiyi Ma, Cong Xia,D. X. Huang,Guojie Luo,Huawei Li,Haihua Shen,Mingyu Chen, Dengpan Bu,Zhu Wen-xing, Yunze Cai,Xiaoming Xiong,Ying Jiang,Yi Heng,Peng Zhang, Bin Xie,Yungang Bao

arXiv (Cornell University)(2023)

引用 0|浏览0
暂无评分
摘要
Open-source EDA shows promising potential in unleashing EDA innovation and lowering the cost of chip design. This paper presents an open-source EDA project, iEDA, aiming for building a basic infrastructure for EDA technology evolution and closing the industrial-academic gap in the EDA area. iEDA now covers the whole flow of physical design (including Floorplan, Placement, CTS, Routing, Timing Optimization etc.), and part of the analysis tools (Static Timing Analysis and Power Analysis). To demonstrate the effectiveness of iEDA, we implement and tape out three chips of different scales (from 700k to 1.5M gates) on different process nodes (110nm and 28nm) with iEDA. iEDA is publicly available from the project home page http://ieda.oscc.cc.
更多
查看译文
关键词
toolkit,implementation,open-source
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要