Continued Optimization of Point-Of-Use Filtration for Metal Oxide Photoresists to Reduce Defect Density

ADVANCES IN PATTERNING MATERIALS AND PROCESSES XL(2023)

引用 0|浏览0
暂无评分
摘要
Extreme Ultraviolet (EUV) lithography poses an ever greater challenge to RLS (resolution, line edge roughness, and sensitivity) than previous photolithography methods, as lithographers try to achieve sub-14 nm pitch in a single-exposure ([1-2]). Additionally, EUV is particularly susceptible to stochastic imaging defects([3]). Although standard chemically amplified resists (CAR) can be exposed with EUV, these materials struggle to achieve resolution targets at manufacturable doses due to limitations in laser source power and resist sensitivity and contribute to stochastics by nature of their random distribution of components. An innovative approach with metal-oxide resist can offer an alternative to overcome both EUV resolution and sensitivity limitations, as well as address stochastic defects([4-5]). On the other hand, traditional sources of defectivity, such as particles, presents another challenge, especially when moving toward high volume manufacturing. Ultrahigh molecular weight polyethylene (UPE) filters have been used for metal oxide EUV resist filtration because of their high retention efficiency and excellent photochemical compatibility. However, other filters with innovative materials are needed to further lower defectivity of these new resists. This paper describes efforts to continuously improve metal oxide EUV resist defectivity through filtration optimization. A comparative study of the patterning performance of various Point-of-Use (POU) filters is presented. Several filters utilizing a variety of retention ratings and membrane designs were installed on a TEL Clean Track (TM) LITHIUS Pro (TM) Z EUV series. A metal oxide EUV resist was filtered and coated on wafers that were subsequently analyzed for after etch inspection (AEI) patterning defect performance. This study examines the efficacy of optimized filtration design to reduce defects and provides a recommendation to achieve lower defect density.
更多
查看译文
关键词
EUV photoresists, metal oxide resists, point of use filtration, EUV lithography, photoresist integrity, bridge defect, micro bridge, nano bridge
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要