CIMC: A 603TOPS/W In-Memory-Computing C3T Macro with Boolean/Convolutional Operation for Cryogenic Computing

2023 IEEE Custom Integrated Circuits Conference (CICC)(2023)

引用 1|浏览14
暂无评分
摘要
Cryogenic computing is a promising solution to pursue higher energy efficiency of circuits due to its significantly reduced device leakage and wire resistance. However, existing IMC macros [1–5] still have several challenges in improving energy efficiency at cryogenic temperature: (1) Existing cryogenic eDRAMs are not optimal for achieving reliable write operations. (2) Cryogenic computing requires cryogenic IMC based Boolean functions and (3) energy-efficient convolution operation. We present energy-efficient cryogenic computing. To address these challenges, we present a cryogenic IMC macro (CIMC) employing three effective techniques: (1) A reliable cryogenic 3T (C3T) bitcell that realizes full-swing data transmission with high data retention time. (2) An accurate implementation of cryogenic Boolean functions with adaptive- reference sense amplifiers (ARSAs) (3) Fast and low power cryogenic convolutions with optimized Flash ADC. Measurement results show our work has achieved an average energy efficiency of 603.1TOPS$/\mathrm{W}(4\mathrm{b} \times4\mathrm{b})$ and an average computing density of 284TOPS/mm $^{2}\,(4 \mathrm{b}\times4\mathrm{b})$.
更多
查看译文
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要