Analog Integrated Circuit Routing Techniques: An Extensive Review

IEEE Access(2023)

引用 0|浏览29
暂无评分
摘要
Routing techniques for analog and radio-frequency (A/RF) integrated circuit (IC) design automation have been proposed in the literature for over three decades. On those, an extensive set of geometric constraints have already been covered as surrogates for routing quality, but also, performance-related criteria were progressively included. However, as A/RF design moved into advanced integration technology nodes, the increasing number of design rules/constraints, wire resistance, congestion, and interwire parasitic growth is constantly challenging existing automatic routing techniques and keeping pressure on their improvement. Fortunately, recent developments in modern workstations’ capabilities allowed the growth of sophisticated routing processes, including some assisted by the latest machine and deep learning methods, offering unprecedented solutions for the automation of this task. Still, as the correlation between routing-induced parasitic structures and the circuit’s functional behavior is far from simple, computational-intensive parasitic-inclusive and layout-aware synthesis techniques have also been proposed, where automatic routing techniques play a decisive role. This paper conducts an extensive review of A/RF IC routing techniques, from the digitally-inspired earliest approaches to state-of-the-art developments, providing a complete and comprehensive guide for circuit designers and design automation developers while defining research lines to facilitate more activities within this field.
更多
查看译文
关键词
Analog and radio-frequency,automatic routing,layout-aware synthesis,machine learning,parasitic-inclusive synthesis,path-finding algorithm
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要