System-Level Exploration of In-Package Wireless Communication for Multi-Chiplet Platforms

2023 28th Asia and South Pacific Design Automation Conference (ASP-DAC)(2023)

引用 0|浏览49
暂无评分
摘要
Multi-Chiplet architectures are being increasingly adopted to support the design of very large systems in a single package, facilitating the integration of heterogeneous components and improving manufacturing yield. However, chiplet-based solutions have to cope with limited inter-chiplet routing resources, which complicate the design of the data interconnect and the power delivery network. Emerging in-package wireless technology is a promising strategy to address these challenges, as it allows to implement flexible chiplet interconnects while freeing package resources for power supply connections. To assess the capabilities of such an approach and its impact from a full-system perspective, herein we present an exploration of the performance of in-package wireless communication, based on dedicated extensions to the gem5-X simulator. We consider different Medium Access Control (MAC) protocols, as well as applications with different runtime profiles, showcasing that current in-package wireless solutions are competitive with wired chiplet interconnects. Our results show how in-package wireless solutions can outperform wired alternatives when running artificial intelligence workloads, achieving up to a 2.64x speed-up when running deep neural networks (DNNs) on a chiplet-based system with 16 cores distributed in four clusters.
更多
查看译文
关键词
Multi-Chiplet Systems,On-Package Wireless Communication,Full System-level Simulation,DNNs
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要