Energy-Efficient In-Memory Binary Neural Network Accelerator Design Based on 8T2C SRAM Cell

IEEE Solid-State Circuits Letters(2022)

引用 3|浏览14
暂无评分
摘要
We present an in-memory binary neural network (BNN) accelerator based on 8-transistor and 2-capacitor (8T2C) SRAM cell. The proposed SRAM computing-in-memory (CIM) cells rely on DRAM-like charge sharing operations to avoid undesirable static currents and potential read-disturb problems in conventional resistive SRAM-CIM designs. In addition, unlike the previous capacitive SRAM-based CIM designs, the proposed SRAM CIM does not consume energy when the input value is 0, thereby achieving the higher energy efficiency in benchmark testing. Measurement results of the 256 $\times $ 64 array prototype chip in the 28-nm CMOS technology showed 3182 TOPS/W at 0.7 V which is $4.7\times $ higher energy efficiency than that of a state-of-the-art design.
更多
查看译文
关键词
Binary neural network (BNN),charge sharing,computing-in-memory (CIM),hardware-algorithm co-design,SRAM
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要