Communication and aging aware application mapping for multicore based edge computing servers

CLUSTER COMPUTING-THE JOURNAL OF NETWORKS SOFTWARE TOOLS AND APPLICATIONS(2022)

引用 1|浏览3
暂无评分
摘要
Technology advancement in semiconductors enables integration of large number of cores on a single chip that leads to the design and development of Multi-Processor System on Chip (MPSoC). In Network-on-Chip (NoC) based MPSoCs dozens and even 100s of cores exist on single chip. To improve the energy efficiency of such systems, workload consolidation has been extensively used. NoC based multicore systems face various challenges due to energy efficient workload consolidation. Workload consolidation leads to imbalanced task mapping that increases the power density of the chip. Consequently, NoC based MPSoCs suffer from overheating and thermal issues leading to faster aging of certain processing cores, which results in lower average lifetime reliability of the system. The uneven aging of some cores exacerbates time constraints that may further affect lifetime reliability of critical systems, such as embedded medical cyber physical systems. Existing reliability aware task mapping algorithms attempt to increase lifetime of MPSoCs by avoiding hotspots that increase communication overhead leading to application performance degradation. Therefore, in this article we propose algorithmic solutions that optimize aging of the system while reducing the communication overhead. Experiments show that proposed communication and aging aware algorithm reduces the communication overhead while marginally sacrificing lifetime reliability compared with some of the baseline and state-of-the-art techniques.
更多
查看译文
关键词
Reliability, Application mapping, Multicores, Network-on-Chip (NoC)
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要