TNN7: A Custom Macro Suite for Implementing Highly Optimized Designs of Neuromorphic TNNs

2022 IEEE Computer Society Annual Symposium on VLSI (ISVLSI)(2022)

Cited 0|Views17
No score
Abstract
Temporal Neural Networks (TNNs), inspired from the mammalian neocortex, exhibit energy-efficient online sensory processing capabilities. Recent works have proposed a microar-chitecture framework for implementing TNNs and demonstrated competitive performance on vision and time-series applications. Building on these previous works, this work proposes TNN7, a suite of nine highly optimized custom macros developed using a predictive 7nm Process Design Kit (PDK), to enhance the efficiency, modularity and flexibility of the TNN design framework. TNN prototypes for two applications are used for evaluation of TNN7. An unsupervised time-series clustering TNN delivering competitive performance can be implemented within 40 uW power and 0.05 $\mathbf{mm}^{2}$ area, while a 4-layer TNN that achieves an MNIST error rate of 1% consumes only 18 mW and 24.63 $\mathbf{mm}^{2}$ . On average, the proposed macros reduce power, delay, area, and energy-delay product by 14%, 16%, 28%, and 45 %, respectively. Furthermore, employing TNN7 significantly reduces the synthesis runtime of TNN designs (by more than 3x), allowing for highly-scaled TNN implementations to be realized.
More
Translated text
Key words
temporal neural networks,custom macros for temporal functions,neuromorphic sensory processing units
AI Read Science
Must-Reading Tree
Example
Generate MRT to find the research sequence of this paper
Chat Paper
Summary is being generated by the instructions you defined