Throughput improvement method for cross-sectional profile measurement of hole patterns in nanoimprint templates

Photomask Technology 2018(2019)

引用 1|浏览1
暂无评分
摘要
Nanoimprint lithography (NIL) is one of the highest potential candidates for next generation lithography (NGL) in semiconductors[1][2]. NIL is very useful technology for pattern fabrication in high resolutions and low costs compared to conventional optical lithography[3]. NIL technology makes use of replication from quartz templates. The cross-sectional profile of the template is directly transferred to the resist profile on a wafer. In relationship to that, the management of the cross-sectional profile on the template pattern is much more important than that of photomask[4]. In our past reports, we had studied the performance of measuring cross-sectional profiles using grazing-incidence small-angle X-ray scattering (GISAXS). GISAXS has made it possible to analyze the repeated nanostructure patterns with a 2D X-ray scattering pattern. After much research, we have found the application is very effective using the method of cross-sectional profiling in sub-20 nm half-pitch lines-and-spaces (LS) patterns[5] and additionally in hole patterns[6]. However, regarding the measurement for hole patterns, around a few hours are needed to get one result. We have considered new method for measuring cross-sectional profiles of hole patterns with GISAXS to improve the measurement throughput. We propose the new method to combine GISAXS with SEM images for measuring crosssectional profiles of hole patterns. Using this new method, measurement throughput is achieved less than one hour while almost the same accuracy as the conventional method. We report the results of the cross-sectional profile measurement of hole patterns with new method in comparison to conventional method.
更多
查看译文
关键词
hole patterns,cross-sectional
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要