一种基于UVM的高层次化1394链路层验证方法

Computer Technology and Development(2021)

引用 0|浏览9
暂无评分
摘要
链路层是IEEE-1394高性能串行总线的一个重要组成部分,用于实现IEEE Std 1394-2008协议规定的链路层功能.为了有效模拟链路层的各种复杂工作复杂场景,并保证链路层和事务层的验证人员相互独立验证,文中从分析1394总线链路层功能特点出发,提出了一种基于UVM的高层次化1394链路层验证方法,并详细描述了该方法包括的验证环境、验证流程以及验证平台的搭建等.最后,经过系统级功能仿真测试和功能覆盖率结果分析表明,该方法满足1394链路层功能验证需求,基于UVM搭建的高层次的模型结构具有良好的复用性,基于这种方法搭建的验证平台,通过编写脚本语言,设计自动化的运行环境,可实现功能覆盖率100%,大大简化了UVM测试的复杂性,加快了芯片验证的速度,显著减小了人工验证的工作量和潜在误差.
更多
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要