Optimization of the CD Uniformity (CDU) in Silicon Oxide Spacer Process for 5 NM FIN SAQP Process Flow

china semiconductor technology international conference(2020)

引用 0|浏览1
暂无评分
摘要
As dimensions of semiconductor devices continue to shrink, ordinary film deposition processes, such as Chemical Vapor Deposition (CVD), Physical Vapor Deposition (PVD), cannot meet the requirement of the film uniformity and target thickness. Atomic Layer Deposition (ALD), based on surface-controlled and self-saturating adsorption reactions, where the film consists of sequential atomic layers, becomes more and more popular. To meet scaling requirements, multi-patterning solutions, like Self-Aligned Double Patterning (SADP), Self-Aligned Quadruple Patterning (SAQP) and Litho-Etch-litho-Etch (LE/LE), that utilize the already installed base of 193 nm immersion exposure tools are first adopted by the industry to not only reduce the linewidth, but also improve line edge roughness or line width roughness in 20/14 nm node and beyond, say 5 nm node. Within the framework of SAQP, the final Fin Critical Dimension Uniformity (CDU) and pitch walking is related to the profile and CDU of spacer deposition closely. In this study, we report a brief summary of ALD application in 5 nm FinFET process flow with a fin pitch of 24 nm. Meanwhile, we have demonstrated the oxide spacer deposition for 5 nm fin SAQP process, and the oxide spacer CD uniformity can be controlled to below 0.5 nm (3 sigma). Moreover, the deposition process is demonstrated on domestic made apparatus.
更多
查看译文
关键词
oxide spacer deposition,CDU,silicon oxide spacer process,film uniformity,line width roughness,CD uniformity,FIN SAQP process flow,film deposition processes,atomic layer deposition,ALD,FinFET process flow,self-aligned quadruple patterning,size 5.0 nm,size 24.0 nm,SiO
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要