A Fast Learning-Driven Signoff Power Optimization Framework.

ICCAD(2020)

引用 10|浏览7
暂无评分
摘要
Modern high-performance System-on-Chip (SoC) design flows highly depend on signoff tools to perform timing-constrained power optimization through Engineering Change Orders (ECOs), which involve gate-sizing and $V_{th}$ -assignment of standard cells. However, ECOs are highly time-consuming, and the power improvement is unknown in advance. Ever since the industrial benchmarks released by the ISPD-2012 gate-sizing contest, active research has been conducted extensively. Nonetheless, previous works were mostly based on heuristics or analytical methods whose timing models were oversimplified and lacked formal validations from commercial signoff tools. In this paper, we propose ECO-GNN, a transferable graph-learning-based framework, which harnesses graph neural networks (GNNs) to perform commercial-quality signoff power optimization through discrete $V_{th}$ -assignment. Our framework generates tool-accurate optimization results instantly on unseen netlists that are not utilized in the training process. Furthermore, we implement a GNN-based explanation method to interpret the optimization results achieved by our framework. Experimental results on 14 industrial designs, including a RISC-V-based multi-core system and the renowned ISPD-2012 benchmarks, demonstrate that our framework achieves up to 14X runtime improvement with similar signoff power optimization quality compared with Synopsys PrimeTime.
更多
查看译文
关键词
fast learning-driven signoff power optimization framework,timing-constrained power optimization,engineering change orders,ECOs,standard cells,industrial benchmarks,ISPD-2012 gate-sizing contest,analytical methods whose timing models,commercial signoff tools,ECO-GNN,transferable graph-learning-based framework,graph neural networks,commercial-quality signoff power optimization,discrete Vth-assignment,tool-accurate optimization results,GNN-based explanation method,RISC-V-based multicore system,high-performance system-on-chip design,SoC design,SoC design,signoff power optimization quality,signoff power optimization quality,ISPD-2012 benchmarks
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要