High Sensitivity Resists for EUV Lithography: A Review of Material Design Strategies and Performance Results.

Nanomaterials (Basel, Switzerland)(2020)

引用 65|浏览1
暂无评分
摘要
The need for decreasing semiconductor device critical dimensions at feature sizes below the 20 nm resolution limit has led the semiconductor industry to adopt extreme ultra violet (EUV) lithography with exposure at 13.5 nm as the main next generation lithographic technology. The broad consensus on this direction has triggered a dramatic increase of interest on resist materials of high sensitivity especially designed for use in the EUV spectral region in order to meet the strict requirements needed for overcoming the source brightness issues and securing the cost efficiency of the technology. To this direction both fundamental studies on the radiation induced chemistry in this spectral area and a plethora of new ideas targeting at the design of new highly sensitive and top performing resists have been proposed. Besides the traditional areas of acid-catalyzed chemically amplified resists and the resists based on polymer backbone breaking new unconventional ideas have been proposed based on the insertion of metal compounds or compounds of other highly absorbing at EUV atoms in the resist formulations. These last developments are reviewed here. Since the effort targets to a new understanding of electron-induced chemical reactions that dominate the resist performance in this region these last developments may lead to unprecedented changes in lithographic technology but can also strongly affect other scientific areas where electron-induced chemistry plays a critical role.
更多
查看译文
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要