Heterogeneous 3D Integration for a RISC-V System With STT-MRAM

IEEE Computer Architecture Letters(2020)

引用 13|浏览50
暂无评分
摘要
Spin Torque Transfer Magnetic RAM (STT-MRAM) is a promising Non-Volatile Memory (NVM) technology achieving high density, low leakage power, and relatively small read/write delays. It provides a solution to improve the performance and to mitigate the leakage power consumption compared to SRAM-based processors. However, the process heterogeneity and the sophisticated back-end-of-line (BEOL) structur...
更多
查看译文
关键词
low-power electronics,MRAM devices,power consumption,reduced instruction set computing,three-dimensional integrated circuits
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要