CDS-RSRAM: a Reconfigurable SRAM Architecture to Reduce Read Power with Column Data Segmentation

2020 21st International Symposium on Quality Electronic Design (ISQED)(2020)

引用 1|浏览49
暂无评分
摘要
SRAM access takes a significant part of on-chip power consumption in many signal processing systems. Reconfigurable data-adaptive SRAMs (RSRAM) can save considerable read power by utilizing data patterns. In these RSRAM designs, the column size (i.e., the number of cells in one column) of the cell array defines the granularity of data pattern exploitation. However, the column size cannot be too small due to circuit constraints, which makes finer-grained data features hidden and suppresses RSRAM's advantage of low-power read. In this paper, we propose a reconfigurable SRAM architecture with column data segmentation (CDS-RSRAM) to break this limitation to exploit better data patterns without decreasing the column size. We partition data in one column into several segments and perform statistical analysis on every segment respectively. Each data segment has one exclusive flag bit to control its working mode while reading. This architecture can leverage data patterns at finer granularity and magnify RSRAM's advantage of low-power read. We also make a thorough overhead analysis and improve the mode decision strategy to minimize the power overheads. The simulation results show that compared with the original RSRAM, the proposed architecture saves up to 36.8% read power with 8.8% area overhead. Compared with 8T SRAM, the total power saving can be up to 77.1%.
更多
查看译文
关键词
Fine-grained,SRAM,Data Pattern,Data-adaptive
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要