An Efficient Milp-Based Aging-Aware Floorplanner For Multi-Context Coarse-Grained Runtime Reconfigurable Fpgas

PROCEEDINGS OF THE 2020 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION (DATE 2020)(2020)

引用 3|浏览8
暂无评分
摘要
Shrinking transistor sizes are jeopardizing the reliability of runtime reconfigurable Field Programmable Gate Arrays (FPGAs), making them increasingly sensitive to aging effects such as Negative Bias Temperature Instability (NBTI). This paper introduces a reliability-aware floorplanner which is tailored to multi-context, coarse-grained, runtime reconfigurable architectures (CGRRAs) and seeks to extend their Mean Time to Failure (MTTF) by balancing the usage of processing elements (PEs). The proposed method is based on a Mixed Integer Linear Programming (MILP) formulation, the solution to which produces appropriately-balanced mappings of workload to PEs on the reconfigurable fabric, thereby mitigating aging-induced lifetime degradation. Results demonstrate that, as compared to the default reliability-unaware floorplanning solutions, the proposed method achieves an average MTTF increase of 2.5x without introducing any performance degradation.
更多
查看译文
关键词
Mixed Integer Linear Programming formulation,aging-induced lifetime degradation,MTTF,runtime reconfigurable Field Programmable Gate Arrays,aging effect,Negative Bias Temperature Instability,reliability-aware floorplanner,multicontext coarse-grained runtime reconfigurable FPGA,MILP-based aging-aware floorplanner,reliability-unaware floorplanning,CGRRA,mean time to failure
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要