PowerNet: Transferable Dynamic IR Drop Estimation via Maximum Convolutional Neural Network

2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC)(2020)

引用 52|浏览63
暂无评分
摘要
IR drop is a fundamental constraint required by almost all chip designs. However, its evaluation usually takes a long time that hinders mitigation techniques for fixing its violations. In this work, we develop a fast dynamic IR drop estimation technique, named PowerNet, based on a convolutional neural network (CNN). It can handle both vector-based and vectorless IR analyses. Moreover, the proposed CNN model is general and transferable to different designs. This is in contrast to most existing machine learning (ML) approaches, where a model is applicable only to a specific design. Experimental results show that PowerNet outperforms the latest ML method by 9% in accuracy for the challenging case of vectorless IR drop and achieves a 30× speedup compared to an accurate IR drop commercial tool. Further, a mitigation tool guided by PowerNet reduces IR drop hotspots by 26% and 31% on two industrial designs, respectively, with very limited modification on their power grids.
更多
查看译文
关键词
dynamic IR drop estimation technique,vector-based analyses,IR drop commercial tool,industrial designs,IR drop hotspots,vectorless IR drop,machine learning method,CNN model,PowerNet,chip designs,maximum convolutional neural network
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要