Accelergy: An Architecture-Level Energy Estimation Methodology for Accelerator Designs

2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)(2019)

引用 208|浏览319
暂无评分
摘要
With Moore's law slowing down and Dennard scaling ended, energy-efficient domain-specific accelerators, such as deep neural network (DNN) processors for machine learning and programmable network switches for cloud applications, have become a promising way for hardware designers to continue bringing energy efficiency improvements to data and computation-intensive applications. To ensure the fast exploration of the accelerator design space, architecture-level energy estimators, which perform energy estimations without requiring complete hardware description of the designs, are critical to designers. However, it is difficult to use existing architecture-level energy estimators to obtain accurate estimates for accelerator designs, as accelerator designs are diverse and sensitive to data patterns. This paper presents Accelergy, a generally applicable energy estimation methodology for accelerators that allows design specifications comprised of user-defined high-level compound components and user-defined low-level primitive components, which can be characterized by third-party energy estimation plug-ins. An example with primitive and compound components for DNN accelerator designs is also provided as an application of the proposed methodology. Overall, Accelergy achieves 95% accuracy on Eyeriss, a well-known DNN accelerator design, and can correctly capture the energy breakdown of components at different granularities. The Accelergy code is available at http://accelergy.mit.edu.
更多
查看译文
关键词
Accelergy,architecture-level energy estimation methodology,accelerator designs,energy-efficient domain-specific accelerators,deep neural network processors,cloud applications,hardware designers,energy efficiency improvements,computation-intensive applications,accelerator design space,energy estimations,existing architecture-level energy estimators,generally applicable energy estimation methodology,design specifications,user-defined high-level compound components,third-party energy estimation plug-ins,DNN accelerator design,user-defined low-level compound components
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要