IncPIRD: Fast Learning-Based Prediction of Incremental IR Drop

2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)(2019)

引用 30|浏览17
暂无评分
摘要
The on-chip power delivery network (PDN) is an essential element of physical implementation that strongly determines functionality, quality and reliability of a given IC product. To meet IR drop requirements, a denser power grid is desirable. On the other hand, to meet timing and layout density requirements, a sparser power grid leaves more resources for routing. Often, numerous time-consuming iterations among PDN design, IR analysis, and floorplanning or placement are needed during the physical implementation of modern high-performance designs. Thus, fast and accurate incremental IR prediction has emerged as a critical need, as it can potentially reduce the turnaround time between design and analysis and help improve design convergence. In this work, we apply superposition and partitioning techniques to extract relevant electrical features of a given SOC floorplan and PDN. We then use a machine learning model to predict the updated static IR drop for each power node (having tap current source attached) in the design throughout a series of changes (PDN modification, block movement, block power change, power pad movement) to the SOC floorplan, without needing to rerun a golden IR drop tool. We develop our model with more than 150 generated SOC floorplans with different PDN structures in 28nm foundry technology. Compared to an industry-leading, golden IR drop signoff tool (ANSYS RedHawk), we achieve 20-1000× speedup with less than 1 mV average absolute error and approximately 5m V maximum absolute error.
更多
查看译文
关键词
ANSYS RedHawk,foundry technology,SOC floorplan,PDN structures,incremental IR prediction,golden IR drop signoff tool,power pad movement,block power change,PDN modification,tap current source,power node,updated static IR drop,machine learning model,electrical features,high-performance designs,IR analysis,PDN design,time-consuming iterations,power grid,layout density requirements,IR drop requirements,on-chip power delivery network,incremental IR drop,fast learning-based prediction,IncPIRD,size 28.0 nm
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要