Runtime Efficiency-Accuracy Tradeoff Using Configurable Floating Point Multiplier.

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems(2020)

引用 19|浏览92
暂无评分
摘要
Many applications, such as machine learning and sensor data analysis, are statistical in nature and can tolerate some level of inaccuracy in their computation. Approximate computing is a viable method to save energy and increase performance by controllably trading off energy for accuracy. In this paper, we propose a tiered approximate floating point multiplier, called CFPU, which significantly red...
更多
查看译文
关键词
Graphics processing units,Hardware,Neural networks,Machine learning algorithms,Adders,Machine learning,Error analysis
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要