ADeLe: A description language for approximate hardware

Future Generation Computer Systems(2020)

引用 5|浏览21
暂无评分
摘要
Approximate computing techniques enable significant improvements in energy efficiency by producing potentially incorrect outputs for a small subset of inputs of a given application. Approximations introduced at the hardware level, in particular, may be applicable in multiple scenarios and offer high power savings. Integrating and evaluating approximate hardware designs within an architecture remains, however, a challenging problem. This paper presents ADeLe, a modeling language designed to facilitate the description, configuration, and integration of approximate hardware units into processors. The ADeLe framework offers a reduced-effort design flow by modeling approximations at a high level of abstraction for automatic injection into a processor model for architectural simulation. Approximations in ADeLe are implemented as snippets of code that affect instructions in the target architecture by replacing or augmenting their functional behavior, according to user-defined policies. ADeLe supports both stochastic and deterministic approximation models. The software under test has full control of the simulation by allowing or avoiding that approximations happen at any given time in executions. Energy is automatically accounted for based on tailorable models that consider the potential instantaneous power savings offered by the approximations activated in the system. ADeLe was embedded in a generic and flexible verification framework that allows for easy evaluation of the energy-quality trade-off of designs in applications. We demonstrate both the language capabilities and its framework by representing two usage scenarios, in which we select approximation techniques from related literature and inject them into various applications. First, we show how ADeLe changes the behavior of specific instructions with 6 alternate designs for 2 functional units. Next, we employ an approximation technique that affects memory and show how it explores an energy-quality trade-off in the execution of 9 applications from different computing domains. These experimental scenarios highlight how ADeLe may be used to reproduce and expand previous work in the literature, generating comprehensive, verifiable, and uniform energy-quality results.
更多
查看译文
关键词
Approximate computing,Energy efficiency,Architectural simulation,Modeling language
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要