Test Solutions for High Density 3D-IC Interconnects - Focus on SRAM-on-Logic Partitioning

2019 IEEE European Test Symposium (ETS)(2019)

引用 5|浏览21
暂无评分
摘要
Test infrastructure of High-Density Three-Dimensional Integrated Circuits (HD 3D-IC) present a new test challenges because of the high interconnect density and the area cost for test features. In this work, we firstly present a pre-analysis of the testability of HD 3D-IC; we define the minimum acceptable 3D pitch value for a given technology to ensure the circuits testability. Afterwards, we propose an optimized DFT architecture allowing pre-bond and post-bond test for SRAM/Logic HD 3D-IC in line with the ongoing IEEE P1838 standard.
更多
查看译文
关键词
3D-IC,high-density interconnects,Boundary scan cells,MBIST,IEEE 1838 standard
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要