RTL-to-GDS Tool Flow and Design-for-Test Solutions for Monolithic 3D ICs

Proceedings of the 56th Annual Design Automation Conference 2019(2019)

引用 10|浏览64
暂无评分
摘要
Monolithic 3D IC overcomes the limitation of the existing through-silicon-via (TSV) based 3D IC by providing denser vertical connections with nano-scale inter-layer vias (ILVs). In this paper, we demonstrate a thorough RTL-to-GDS design flow for monolithic 3D IC, which is based on commercial 2D place-and-route (P&R;) tools and clever ways to extend them to handle 3D IC designs and simulations. We also provide a low-cost built-in-self-test (BIST) method to detect various faults that can occur on ILVs. Lastly, we present a resistive random access memory (ReRAM) compiler that generates memory modules that are to be integrated in monolithic 3D ICs.
更多
查看译文
关键词
design-for-test solutions,nanoscale inter-layer vias,P&R tools,memory module generation,ReRAM compiler,resistive random access memory compiler,fault detection,BIST method,low-cost built-in-self-test method,3D IC designs,commercial 2D place-and-route tools,ILVs,TSV,through-silicon-via,monolithic 3D ICs,RTL-to-GDS tool flow,RTL-to-GDS design flow
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要