Designing Secure Cryptographic Accelerators with Information Flow Enforcement: A Case Study on AES

Proceedings of the 56th Annual Design Automation Conference 2019(2019)

引用 15|浏览61
暂无评分
摘要
Designing a secure cryptographic accelerator is challenging as vulnerabilities may arise from design decisions and implementation flaws. To provide high security assurance, we propose to design and build cryptographic accelerators with hardware-level information flow control so that the security of an implementation can be formally verified. This paper uses an AES accelerator as a case study to demonstrate how to express security requirements of a cryptographic accelerator as information flow policies for security enforcement. Our AES prototype on an FPGA shows that the proposed protection has a marginal impact on area and performance.
更多
查看译文
关键词
secure cryptographic accelerator design,FPGA,security requirements,AES accelerator,hardware-level information flow control,high security assurance,design decisions,information flow enforcement,security enforcement,information flow policies
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要