Adding the missing time-dependent layout dependency into device-circuit-layout co-optimization - New findings on the layout dependent aging effects

2015 IEEE International Electron Devices Meeting (IEDM)(2015)

引用 16|浏览72
暂无评分
摘要
In this paper, a new class of layout dependent effects (LDE)-the time-dependent layout dependency due to device aging, is reported for the first time. The BTI and HCI degradation in nanoscale HKMG devices are experimentally found to be sensitive to layout configurations, even biased at the same stress condition. This new effect of layout dependent aging (LDA) can significantly mess the circuit design, which conventionally only includes the static LDE modeled for time-zero performance. Further studies at circuit level indicate that, for resilient device-circuit-layout co-design, especially to ensure enough design margin near the end of life, LDA cannot be neglected. The results are helpful to guide the cross-layer technology/design co-optimization.
更多
查看译文
关键词
missing time-dependent layout dependency,device-circuit-layout cooptimization,BTI,HCI degradation,HKMG device,layout configuration,layout dependent aging,LDA,time-zero performance,device-circuit-layout codesign
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要