Dsa Patterning Options For Finfet Formation At 7nm Node

ALTERNATIVE LITHOGRAPHIC TECHNOLOGIES VIII(2016)

引用 8|浏览62
暂无评分
摘要
Several 27nm-pitch directed self-assembly (DSA) processes targeting fin formation for FinFET device fabrication are studied in a 300mm pilot line environment, including chemoepitaxy for a conventional Fin arrays, graphoepitaxy for a customization approach and a hybrid approach for self-aligned Fin cut. The trade-off between each DSA flow is discussed in terms of placement error, Fin CD/profile uniformity, and restricted design. Challenges in pattern transfer are observed and process optimization are discussed. Finally, silicon Fins with 100nm depth and on-target CD using different DSA options with either lithographic or self-aligned customization approach are demonstrated.
更多
查看译文
关键词
Directed self-assembly,DSA,block copolymer,BCP,Chemoepitaxy,Graphoepitaxy,FinFET,customization
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要