Photosensitized Chemically Amplified Resist (PSCAR) 2.0 for high-throughput and high-resolution EUV lithography: dual photosensitization of acid generation and quencher decomposition by flood exposure

ADVANCES IN PATTERNING MATERIALS AND PROCESSES XXXIV(2017)

引用 6|浏览9
暂无评分
摘要
A new type of Photosensitized Chemically Amplified Resist (TM) (PSCAR (TM))**: "PSCAR 2.0," is introduced in this paper. PSCAR 2.0 is composed of a protected polymer, a "photo acid generator which can be photosensitized" (PS-PAG), a "photo decomposable base (quencher) which can be photosensitized" (PS-PDB) and a photosensitizer precursor (PP). With this PSCAR 2.0, a photosensitizer (PS) is generated by an extreme ultra-violet (EUV) pattern exposure. Then, during a subsequent flood exposure, PS selectively photosensitizes the EUV exposed areas by the decomposition of a PS-PDB in addition to the decomposition of PS-PAG. As these pattern-exposed areas have the additional acid and reduced quencher concentration, the initial quencher loading in PSCAR 2.0 can be increased in order to get the same target critical dimensions (CD). The quencher loading is to be optimized simultaneously with a UV flood exposure dose to achieve the best lithographic performance and resolution. In this work, the PSCAR performance when different quenchers are used is examined by simulation and exposure experiments with the 16 nm half-pitch (HP) line/space (L/S, 1:1) patterns. According to our simulation results among resists with the different quencher types, the best performance was achieved by PSCAR 2.0 using PS-PDB with the highest possible chemical gradient resulting in the lowest line width roughness (LWR). PSCAR 2.0 performance has furthermore been confirmed on ASML's NXE:3300 with TELTM's standalone pre-alpha flood exposure tool at imec. The initial PSCAR 2.0 patterning results on NXE:3300 showed the accelerated photosensitization performance with PS-PDB. From these results, we concluded that the dual sensitization of PS-PAG and PS-PDB in PSCAR 2.0 have a potential to realize a significantly improved resist performance in EUV lithography.
更多
查看译文
关键词
Photosensitized Chemically Amplified Resist,PSCAR,PSCAR 2.0,chemically amplified resist,sensitivity,resolution,LER,RLS trade-off,photosensitizer,photosensitizer precursor,chemical gradient,in-line coater/developer,flood exposure,quencher loading and flood exposure dose co-optimization
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要