Patterning with metal-oxide EUV photoresist: patterning capability, resist smoothing, trimming, and selective stripping

ADVANCES IN PATTERNING MATERIALS AND PROCESSES XXXIV(2017)

引用 5|浏览2
暂无评分
摘要
Inpria's metal-oxide photoresist (PR) serves as a thin spin-on photo-patternable hard mask for EUV lithography. Unlike traditional organic photoresists, this ultrathin metal-oxide resist (similar to 16nm after development) effectively mitigates pattern collapse[1]. The metal oxide nature of this resist results in a significant higher etch resistivity. Consequently, this allows the usage of more aggressive etches, new chemistries, and novel integration schemes. Previously it was shown that this metal-oxide PR can be successfully used to pattern the block layer for the IMEC's 7-nm technology node[1] and advantageously replace a multiple patterning approach, which significantly reduces the process complexity and effectively decreases the cost. We also demonstrated the formation of 16nm half pitch 1:1 line/space with EUV single print[2], which corresponds to a metal 2 layer for that same 7-nm technology node. In this paper, we investigate the feasibility of using Inpria's metal-oxide PR for 16nm line/space patterning. In addition, the authors also explore different etch processes to study line width roughness (LWR), resist trimming and resist stripping.
更多
查看译文
关键词
metal-oxide EUV photoresist,iN7 technology,resist trimming
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要