Bevel rinse optimization for reduced edge defectivity and improved edge yield

2016 27th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC)(2016)

引用 4|浏览3
暂无评分
摘要
As a technology ramps up to volume manufacturing, it becomes imperative that variability in yield is reduced. One of the leading contributors to this variation is coming from the wafer edge where uniformity in film thickness significantly rolls-off. In order to widen the process margin at the edge, most advance technologies will remove the edge bead removal in lithography steps to extend the area that remains within the depth of focus. However, without the edge bead removal some photochemical residue was observed at the wafer edge and bevel. A partition inspection on the wafer bevel showed that the photoresist stack at the bevel area is not completely removed. With the process of record rinse at the lithography step, a thicker layer of patterning material is left at the bevel which downstream bevel clean processes cannot remove. By optimizing the bevel rinse, removal of the remaining organic films was improved. The film cut from the patterning layers are receded away from the bevel by tuning the wafer rotation speed during rinse and optimizing the rinse duration. With the optimized rinse it was shown that defect count at the bevel as well as the center to edge yield ratio is improved.
更多
查看译文
关键词
Bevel,Bevel rinse,Bevel inspection
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要