DATC RDF: an academic flow from logic synthesis to detailed routing

ICCAD-IEEE ACM International Conference on Computer-Aided Design(2018)

引用 10|浏览74
暂无评分
摘要
In this paper, we present DATC Robust Design Flow (RDF) from logic synthesis to detailed routing. We further include detailed placement and detailed routing tools based on recent EDA research contests. We also demonstrate RDF in a scalable cloud infrastructure. Design methodology and cross-stage optimization research can be conducted via RDF.
更多
查看译文
关键词
VLSI design flow,CAD contest,physical design
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要