TritonRoute: An Initial Detailed Router for Advanced VLSI Technologies

2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)(2018)

引用 39|浏览29
暂无评分
摘要
Detailed routing is a dead-or-alive critical element in design automation tooling for advanced node enablement. However, very few works address detailed routing in the recent open literature, particularly in the context of modern industrial designs and a complete, end-to-end flow. The ISPD-2018 Initial Detailed Routing Contest addressed this gap for modern industrial designs, using a reduced design rules set. In this work, we present TritonRoute, an initial detailed router for the ISPD-2018 contest. Given route guides from global routing, the initial detailed routing stage should generate a detailed routing solution honoring the route guides as much as possible, while minimizing wirelength, via count and various design rule violations. In our work, the key contribution is intra-layer parallel routing, where we partition each layer into parallel panels and route each panel using an Integer Linear Programming-based algorithm. We sequentially route layer by layer from the bottom to the top. We evaluate our router using the official ISPD-2018 benchmark suite and show that we reduce the contest metric by up to 74%, and on average 50%, compared to the first-place routing solution for each testcase.
更多
查看译文
关键词
TritonRoute,advanced VLSI technologies,design automation tooling,modern industrial designs,ISPD-2018 Initial Detailed Routing Contest,ISPD-2018 contest,global routing,intra-layer parallel routing,first-place routing solution,ISPD-2018 benchmark suite
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要