Generating the Next Wave of Custom Silicon.

Proceedings of the European Solid-State Circuits Conference(2018)

引用 14|浏览40
暂无评分
摘要
Tidal waves in computing and communications have traditionally fueled the growth of the semiconductor industry. Mainframes have been replaced by personal computers, followed by the proliferation of mobile telephones, each resulting in dramatic increases in volumes of units shipped. The upcoming generation of computing does not have one clear product to drive the industry; Rather a diversity of emerging applications are based on the interaction between edge devices and the cloud. Supporting differentiation amongst diverse products requires specialization of integrated circuits, which in turn requires a paradigm shift in the design of custom silicon. This paper outlines a vision to dramatically increase design reuse by focusing on developing digital and analog generators rather than specific instances of functional modules. The use of the open and extensible RISC-V instruction-set architecture enables customization with reduced software cost. Open-source chip generators amortize the design and verification costs across many instances. Emulation of multi-processor systems running realistic workloads on public clouds validates design decisions at a dramatically reduced cost. The methodology is illustrated by the design of a complex system-on-a-chip.
更多
查看译文
关键词
Energy efficiency,CMOS,microprocessor,digital signal processor,design-space exploration
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要