Asymmetric Body Bias Control With Low-Power FD-SOI Technologies: Modeling and Power Optimization.

IEEE Transactions on Very Large Scale Integration (VLSI) Systems(2018)

引用 15|浏览8
暂无评分
摘要
Body bias control is a fundamental technique widely used to provide an efficient tradeoff between leakage power and performance in ultralow-power systems. Therefore, a lot of research about power optimization which provides optimal power supply and body bias voltages has been carried out. However, considering the actual voltage sources, the conventional approaches suffer from limited performance/p...
更多
查看译文
关键词
Optimization,Leakage currents,Power supplies,Delays,Voltage control,MOS devices,Very large scale integration
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要